Click here to return to the VHDL Reference Guide. (last edit: 24. september 2012)

Standard

The package STANDARD in library STD is part of the VHDL standard. VHDL Source Of Package package STANDARD is type BOOLEAN is (FALSE, TRUE); type BIT is ('0', '1'); type CHARACTER is ( NUL, SOH, STX, ETX, EOT, ENQ, ACK, BEL, BS, HT, LF, VT, FF, CR, SO, SI, DLE, DC1, DC2, DC3, DC4, NAK, SYN, ETB, CAN, EM, SUB, ESC, FSP, GSP, RSP, USP, ' ', '!', '"', '#', '$', '%', '&', ''', '(', ')', '*', '+', ',', '-', '.', '/', '0', '1', '2', '3', '4', '5', '6', '7', '8', '9', ':', ';', '<', '=', '>', '?', '@', 'A', 'B', 'C', 'D', 'E', 'F', 'G', 'H', 'I', 'J', 'K', 'L', 'M', 'N', 'O', 'P', 'Q', 'R', 'S', 'T', 'U', 'V', 'W', 'X', 'Y', 'Z', '[', '\', ']', '^', '_', ' ', 'a', 'b', 'c', 'd', 'e', 'f', 'g', 'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o', 'p', 'q', 'r', 's', 't', 'u', 'v', 'w', 'x', 'y', 'z', '{', '|', '}', '~', DEL); -- VHDL'93 includes all 256 ASCII characters type SEVERITY_LEVEL is (NOTE, WARNING, ERROR, FAILURE); type INTEGER is range implementation_defined; type REAL is range implementation_defined; type TIME is range implementation_defined units fs; ps = 1000 fs; ns = 1000 ps; us = 1000 ns; ms = 1000 us; sec = 1000 ms; min = 60 sec; hr = 60 min; end units; -- function that returns the current simulation time: function NOW return TIME; --(VHDL'87) subtype DELAY_LENGTH is TIME range 0 FS to TIME'HIGH; impure function NOW return DELAY_LENGTH; subtype NATURAL is INTEGER range 0 to INTEGER'HIGH; subtype POSITIVE is INTEGER range 1 to INTEGER'HIGH; type STRING is array (POSITIVE range <>) of CHARACTER; type BIT_VECTOR is array (NATURAL range <>) of BIT; type FILE_OPEN_KIND is (READ_MODE, WRITE_MODE, MODE); type FILE_OPEN_STATUS is (OPEN_OK, STATUS_ERROR, NAME_ERROR, MODE_ERROR); attribute FOREIGN: STRING; end STANDARD;

Tips

The attribute FOREIGN can be attached to a procedure, function or architecture to indicate that it is defined in a language other than VHDL (usually C). Generally it is better to use the types Std_logic and Std_logic_vector rather than Bit and Bit_vector.

See Also

TEXTIO, Std_logic_1164, Package